Fix reorder count after reset 19/72419/1
[samplevnf.git] / common /
drwxr-xr-x   ..
drwxr-xr-x - VIL
drwxr-xr-x - vnf_common